Questions marquées «fpga»

Une matrice de portes programmable sur le terrain (FPGA) est une puce logique configurée par le client après la fabrication, donc «programmable sur le terrain».

3
FPGA, premiers pas
Eh bien, c'est la suite de ma question sur FPGA ici . J'ai finalement sélectionné un Digilent Atlys avec un FPGA Spartan 6, je n'ai aucune expérience préalable des FPGA même si j'ai fait un peu de travail avec des micro-contrôleurs. J'ai passé les derniers jours à lire les fiches …
11 fpga  xilinx  spartan 

4
FPGA: compter ou compter?
J'apprends à utiliser un FPGA (carte de développement Papilio, qui a un xilinx spartan3e, en utilisant vhdl). J'ai besoin de diviser une impulsion entrante par un nombre (codé en dur). Je peux voir 3 options - à peu près, comme pseudocode (en utilisant 10 comptes comme exemple): Initialiser à 0, …
11 fpga  vhdl  xilinx  papilio 


2
Qu'est-ce qu'un «demi-verrou» dans un FPGA?
Dans un article sur les FPGA durs aux radiations, je suis tombé sur cette phrase: "Une autre préoccupation concernant les appareils Virtex concerne les demi-verrous. Les demi-verrous sont parfois utilisés dans ces appareils pour les constantes internes, car cela est plus efficace que d'utiliser la logique". Je n'ai jamais entendu …
10 fpga  vhdl  xilinx  radiation 

2
Quelle est la différence entre CPLD et FPGA? [fermé]
Fermé. Cette question est hors sujet . Il n'accepte pas actuellement les réponses. Voulez-vous améliorer cette question? Mettez à jour la question afin qu'elle soit sur le sujet pour Electrical Engineering Stack Exchange. Fermé il y a 4 ans . Quelle est la différence entre un CPLD et un FPGA?


1
Liaison FPGA à la mémoire externe
J'essaie d'utiliser le ram cellulaire sur la carte de développement Nexys 4 FPGA . J'utilise Xilinx Vivado et je souhaiterais qu'un processeur Microblaze soft core puisse effectuer des lectures et des écritures. Jusqu'à présent, j'ai créé le processeur dans une conception de bloc. Après beaucoup de recherches sur Internet, j'ai …
10 fpga  memory  ram 

3
synchronisation du processus sur FPGA
Je suis nouveau dans les fpgas, et il y a certaines subtilités de synchronisation que je ne suis pas sûr de comprendre: si tous mes processus synchrones sont déclenchés sur le même front, cela signifie que mes entrées sont `` capturées '' sur un front montant, et mon les sorties …

1
Pipeline MD5 VHDL
J'essaie de mettre en œuvre un pipeline MD5 en 3 étapes selon ce lien . En particulier les algorithmes de la page 31. Il existe également un autre document qui décrit le transfert de données. Cela se fait dans un FPGA (Terasic DE2-115). Il n'y a aucun schéma dans ce …
10 fpga  vhdl 

4
Utilisation des deux bords d'une horloge
Je programme un Altera Cyclone IV avec Verilog et Quartus II. Dans ma conception, je voudrais utiliser les deux bords d'une horloge pour pouvoir diviser l'horloge par un facteur impair avec un rapport cyclique de 50%. Voici un extrait de mon code: always @(posedge low_jitter_clock_i or negedge low_jitter_clock_i or posedge …


3
Qu'est-ce que le décalage d'horloge et pourquoi peut-il être négatif?
Mon compilateur HDL (Quartus II) génère des rapports de synchronisation. Dans ce document, les nœuds ont une colonne "horloge asymétrique". La seule définition du décalage d'horloge que j'ai trouvée se trouve dans la documentation TimeQuest (voir page 7-24): Pour spécifier manuellement l'incertitude d'horloge, ou l'inclinaison, pour les transferts d'horloge à …


1
Comment créer un clone Ambilight basé sur FPGA?
Un bref aperçu: Ambilight est un système sur certains téléviseurs Philips qui analyse les informations de couleur sur l'écran, puis définit des LED à l'arrière de l'écran pour projeter la couleur de l'écran sur le mur. C'est un effet assez astucieux. Il existe maintenant des clones de ce système qui …
10 fpga  hdmi 


En utilisant notre site, vous reconnaissez avoir lu et compris notre politique liée aux cookies et notre politique de confidentialité.
Licensed under cc by-sa 3.0 with attribution required.