Questions marquées «intel-fpga»

4
Utilisation des deux bords d'une horloge
Je programme un Altera Cyclone IV avec Verilog et Quartus II. Dans ma conception, je voudrais utiliser les deux bords d'une horloge pour pouvoir diviser l'horloge par un facteur impair avec un rapport cyclique de 50%. Voici un extrait de mon code: always @(posedge low_jitter_clock_i or negedge low_jitter_clock_i or posedge …

3
Qu'est-ce que le décalage d'horloge et pourquoi peut-il être négatif?
Mon compilateur HDL (Quartus II) génère des rapports de synchronisation. Dans ce document, les nœuds ont une colonne "horloge asymétrique". La seule définition du décalage d'horloge que j'ai trouvée se trouve dans la documentation TimeQuest (voir page 7-24): Pour spécifier manuellement l'incertitude d'horloge, ou l'inclinaison, pour les transferts d'horloge à …
En utilisant notre site, vous reconnaissez avoir lu et compris notre politique liée aux cookies et notre politique de confidentialité.
Licensed under cc by-sa 3.0 with attribution required.