Existe-t-il un simulateur VHDL?


Réponses:


7

Il y a une grande différence entre l'IDE (environnement de développement) et le simulateur. L'IDE peut venir sans simulateur intégré, et le simulateur peut venir sans GUI.

Si vous cherchez un simulateur , voici une liste de simulateurs VHDL gratuits avec des liens de téléchargement. La plupart des simulateurs fonctionnent également sous Linux.


2

«Altera Quartus 2». . .c'est ce que vous recherchez. . c'est aussi puissant


Oui, c'est utile, mais je veux un simulateur gratuit.
Kesco

Altera propose une version gratuite (gratuite) de ModelSim. Si vous voulez gratuit (comme dans la bière), vous êtes essentiellement coincé avec GHDL.
Philippe

0

Historiquement, les électro-ingénieurs étaient principalement à la maison dans un environnement Windows et payaient également beaucoup pour leur environnement de travail. Cela peut expliquer pourquoi nous ne voyons pas plus d'IDE Open Source pour le développement FPGA. Avec les capacités accrues des FPGA et la tendance à exécuter Linux sur eux, cela affecte bien sûr également les plates-formes de développement. Alors, merci beaucoup pour cette question, qui aide à sa manière à élargir la base d'utilisateurs FPGA Linux.

Pour les projets de taille régulière, lorsque votre code peut être raisonnablement édité avec des éditeurs de texte standard, je suis tenté de dire que Debian / Ubuntu Linux dans son ensemble est déjà un environnement de développement intégré entièrement gratuit (comme dans le discours et la bière): le pipeline yosys a été empaqueté afin que vous passiez vraiment du code source Verilog à un FPGA Lattice flashé, voir http://www.debian.org/FPGA/Lattice . Il y a un frontent VHDL naissant pour yosys sur https://github.com/forflo/yodl que je me propose de conditionner si j'obtiens votre aide pour le tester.

Sur un sidenote, le pipeline yosys fonctionne à travers toutes les archives de Linux. Surtout à noter sont ARM et tous les petits appareils comme le Raspberry Pi.

En utilisant notre site, vous reconnaissez avoir lu et compris notre politique liée aux cookies et notre politique de confidentialité.
Licensed under cc by-sa 3.0 with attribution required.