Carte de développement FPGA bon marché [fermé]


12

Je veux commencer par FPGA, mais je n'ai jamais travaillé avec une seule fois auparavant.

Je veux un kit pas cher, mais je ne sais pas par où commencer. Je peux trouver des cartes bon marché, mais je ne peux pas obtenir d'informations sur le programmeur ou le compilateur, j'espère donc que vous pourrez m'aider.

Je veux quelque chose de vraiment pas cher (30 $ - 40 $ max), de préférence avec une méthode de programmation et de débogage non propriétaire (peut-être JTAG?) Qui serait capable de programmer en utilisant certains langages comme WinCUPL ou VHDL.

J'ai trouvé la carte Diligent Cmod comportant un CPLD Xilinx sur un autre thread, mais je ne trouve pas comment programmer / déboguer (logiciel et matériel supplémentaire impliqués).


Question d'achat; susceptibles d'être fermés car ces réponses évoluent avec le temps.
Brian Carlton




Il s'agit d'une question de 4 ans et validement ontopique à l'époque. Pourquoi sommes-nous VTC?
Passant

Réponses:


10

Cette carte est une carte CPLD, similaire, mais vous indiquez une carte FPGA dans la question. La programmation se fera par JTAG, et divers fournisseurs font les choses différemment, donc il n'y a pas de solution "un seul programmeur pour tous les fournisseurs" (faites le moi savoir si vous en trouvez un :-)) Il y a des choses comme OpenOCD et OpenJTAG et Presto, Wiggler , etc. Vous auriez besoin du programmeur Xilinx JTAG (ou un clone d'eBay devrait fonctionner correctement, ou l'une des choses de type Presto / Wiggler mais vous risquez de la frustration ..) pour programmer cette carte.

Cependant, la plupart des cartes FPGA de démonstration ont la capacité de programmer via USB (contrairement à la carte CPLD ci-dessus, qui est uniquement via un en-tête JTAG) Vous aurez besoin de l'IDE Xilinx (ISE webpack je pense - téléchargez ici ), qui peut être téléchargé à partir de leur site Web et du logiciel de programmation. Je ne suis pas complètement sûr de tous les détails, car j'utilise des FPGA Actel (maintenant Microsemi). J'espère que quelqu'un pourra confirmer ce qui précède.

Bien que je n'aie pas utilisé Xilinx, j'en connais quelques-uns qui ont obtenu l'une des cartes Nexys et qui en étaient satisfaits, bien qu'ils soient au-dessus de votre budget. eBay pourrait cependant en proposer quelques-uns à bon marché. Si vous ne savez pas grand-chose sur les FPGA et ne savez pas par où commencer, l'une des cartes Digilent basées sur USB est probablement votre meilleur choix, car elles sont livrées avec tout ce dont vous avez besoin pour programmer, et beaucoup de documentation / tutoriels / exemples de conceptions.

Vous programmerez dans Verilog ou VHDL (ou System Verilog, ou quelques autres options)
EDABoard a un bon forum sur FPGA / CPLD pour quand vous êtes coincé, et FPGAforfun est un autre site décent (avec quelques cartes disponibles accessoirement)

Préparez-vous à un chemin plus difficile avec les FPGA que par exemple les microcontrôleurs, il y a beaucoup moins d'aide / d'exemples et les outils de conception (compiler, simuler, synthétiser, placer / acheminer / chronométrer, etc.) sont très complexes - préparez-vous à beaucoup de lecture :-) Cela dit, vous devriez obtenir des trucs simples assez rapidement avec une bonne planche de développement.


4

Le point d'entrée le moins cher est probablement l'une de ces cartes Cyclone II, l'exemple est la carte de développement FPGA Altera Cyclone II EP2C5T144 Mini. Vous aurez besoin d'une interface JTAG que vous pouvez obtenir auprès du même fournisseur. Vous aurez besoin des outils Quartus II gratuits qui peuvent être téléchargés à partir du site Web d'Altera et prendre en charge VHDL, Verilog et l'entrée schématique. J'ai un de ces conseils et cela fonctionne très bien. Des circuits externes doivent être ajoutés via des PCB qui sont interfacés via un ou plusieurs des en-têtes. J'ai créé cette page Web pour aider les utilisateurs à la démarrer.

Un autre conseil que j'ai est ce un de Digilent, qui peut être utilisé avec le logiciel ISE disponible gratuitement à partir de Xilinx. Un câble JTAG est également nécessaire avec cette carte. Cette carte est plus facile à utiliser car de nombreux exemples sont disponibles.


1
J'ai également une de ces "mini" cartes FPGA Altera Cyclone II. J'ai rencontré quelques trucs bizarres avec les miens. Il est venu avec des cavaliers de 0 ohm (R1, R2, R9, R10) installés qui sont pour le périphérique EP2C8 et non EP2C5; donc je les ai juste retirés pour restaurer ces broches à la fonctionnalité d'E / S utilisateur. L'autre chose que je n'ai pas comprise (mais laissée seule) est le filtre R / C 10K / 10uF (?) Sur la broche 73; Je ne sais pas à quoi ça sert. En dehors de ces quelques choses étranges, je dirais que c'est une belle petite planche barebones.
Craig

Ce R / C sur la broche 73 pourrait être là pour une fonction de retard à la mise sous tension, si quelqu'un en a besoin.
Leon Heller

Le CycloneII est en cours de suppression, donc QuartusII ne le prendra en charge que jusqu'à 13,0.
Simon Richter

3

Alors que ces cartes fonctionneraient sans aucun doute, je recommanderais d'obtenir ceci: http://www.aliexpress.com/product-fm/482507559-FPGA-Altera-Cyclone-EP1C6-NIOSII-FULL-Devlopment-Board-WB050-wholesalers.html

C'est 44 $ livré, mais il y a beaucoup de choses à expérimenter à bord.

Pour programmer cela, vous auriez besoin d'un blaster USB Altera, http://www.aliexpress.com/product-fm/473816005-USB-Blaster-ALTERA-CPLD-FPGA-programmer--wholesalers.html

13 $ livré. Donc, pour 57 $, vous aurez tout ce dont vous avez besoin pour creuser dans FPGA. J'ai personnellement commandé une version légèrement plus chère de cette carte, qui a une puce EP2C8, à part ça, c'est la même chose.


Probablement un bon choix, je vais essayer de le trouver chez un distributeur proche (c'est 60 $ de frais de port pour le Portugal)
rnunes

HongKond post expédition devrait être gratuite.
BarsMonster

1
Ce n'est pas un blaster USB Altera, bien qu'il prétend être "100% compatible avec le blaster USB officiel ALTERA". J'aime les clones, mais mettre illégalement un logo Altera sur le produit est tout simplement trop. Je me demande également si l'autre carte a vraiment un FPGA Altera, ou si c'est aussi un clone. Ce "Terasic Blaster" est le clone que j'utilise, ils sont beaucoup plus honnêtes sur le fait d'être un clone et non un programmeur Altera officiel.
Ben Voigt

@Ben Voigt Je peux pardonner tout cela pour avoir laissé 90% de l'argent dans ma poche ;-)
BarsMonster

Avez-vous reçu des schémas dans votre commande? Avec les nouveaux clones chinois, il faut toujours vérifier où se trouvent les schémas - en particulier avec des connaissances chinoises faibles. "bon marché" n'a pas d'importance s'il est de mauvaise qualité, par exemple .
hhh

2

Je recommanderais certainement l'Altera DE0-Nano. Il possède le dernier FPGA Cyclone 4, des LED et des commutateurs intégrés, un accéléromètre et un ADC. Ce serait un bon choix pour les débutants. Cela peut être un peu cher (79 $ ou 59 $ étudiant) mais je pense que cela vaut la peine de payer un supplément pour les fonctionnalités qu'il a déjà intégrées.

Altera a également ces tutoriels / ressources pour les débutants visant le DE0-Nano ici: http://www.altera.com/education/univ/materials/comp_org/tutorials/unv-tutorials.html


2
Ça a l'air vraiment bien, mais dois-je aussi acheter l'USB Blaster (ou un autre programmeur)?
rnunes

@rnunes Non, vous n'avez pas besoin de - source . Nb. J'ai lu les écrits de Leon sur un fil de discussion où un point était qu'il pourrait être plus facile d'avoir une carte sans USB-blaster, rumeur: les instructions d'Altera sont obsolètes.
hhh

2

J'ai récemment suivi ce cours fpga VHDL gratuit et c'était super. Il passe en revue un tas de projets différents et donne un aperçu général de la langue. Il y en a qui sortent et le font sur vos propres projets, ce qui peut être frustrant si vous commencez et ne savez pas où aller, mais c'était un grand défi.

J'ai utilisé la carte Basys 2 et cela a très bien fonctionné pour le cours. Je n'ai utilisé rien d'autre que les cartes Digilent mais je pense que leur programme a un excellent chargeur de débogage et de fichiers bit. entrez la description de l'image ici


Vous ne pouvez acheter Basys 2 que sur le site Digilent. Je ne vois vraiment aucun intérêt à cela: le courrier me coûte le même montant que le conseil, même avec une remise académique. J'espère que EP2C5T144 FPGA pourra être utilisé pour suivre le cours, +1.
hhh

@hhh MLM proposait peut-être une suggestion à l'affiche originale et non à vous. Le Basys2 est un bon choix si vous pouvez obtenir le rabais étudiant.
Joe Hass

1

FreeRangeFactory.org propose un livre sur VHDL et certaines puces XuLA FPGA. Le livre est disponible en ligne ici , il a l'air de qualité relative. Le prix de la planche et du livre expédié est inférieur à 100 USD.

Je n'ai pas acheté cet ensemble mais c'est peut-être une bonne planche de départ, toujours en cours d'investigation.


0

Je recommande fortement l' Open Workbench Logic Sniffer de Seeed Studio. Notez le triple «e» dans Seeed.

Le coût est de 50 $ US et la carte comprend un FPGA Spartan3E XC3S250E-VQ100 ainsi qu'un PIC18F24J50 utilisé pour parler au FPGA. Il y a 16 broches d'entrée uniquement tamponnées avec un M74LCX16245DTR2G (tolérant de -0,5 V à + 7 V) et 16 broches d'E / S supplémentaires apportées aux en-têtes le long d'un bord de la carte.

Tout le code Logic Analyzer est open-source, ce qui vous donne un très bon point de départ. Lorsque vous avez fini de jouer avec le FPGA, vous pouvez transformer l'appareil en un analyseur logique vraiment utile.

Plus de documentation ici

En utilisant notre site, vous reconnaissez avoir lu et compris notre politique liée aux cookies et notre politique de confidentialité.
Licensed under cc by-sa 3.0 with attribution required.